多自由度机械臂运动控制系统的设计与仿真

2014-10-15 09:58赵继忠
制造业自动化 2014年19期
关键词:锁相环伺服电机编码器

吴 硕,吴 桐,赵继忠

(1.辽宁装备制造职业技术学院,沈阳 110161;2.北京机械工业自动化研究所,北京 100120)

0 引言

根据教学实训的需要,设计了一个五自由度的机械臂,该机械臂运动控制系统采用两级控制方式。首先设计了机械臂硬件电路,其次给出了软件设计的思路和流程,最后,通过MATLAB和ADAMS软件对机械臂单关节进行了运动控制仿真,得到较理想的结果。

1 系统的总体设计

1.1 机械臂机械结构方案

机械手臂整个结构为空间关节型的,其装配示意图如图1所示。该机械臂具有五个自由度,分别为:腰关节、肩关节、臂关节、肘关节、腕关节,均为转动关节。其中底盘(腰关节)实现机械臂本体机构的转动;底盘与大臂、小臂三个关节实现空间位置的定位;腕关节两个自由度实现俯仰和摆动,通过改变手爪的位姿,方便负载的抓取。

图1 机械手装配图

1.2 驱动电机

目前工业机器人运动控制系统中常用的电机有:直流伺服电机、交流伺服电机、步进电机等。考虑到机械臂系统应用于教学,我们采用简单且利于控制的小型直流伺服电机。在选用电机型号的同时,还要考虑电机的尺寸及对应的机械臂关节所能承受的最大转矩。在本系统中大臂的仰视所承受的力矩最大,通过静力学估算[1],得知所受力矩为13.6N·m。我们选择MAXON公司的RE-max24系列的直流电直流伺服电机作为驱动电机与减速器。

1.3 关节控制器的总体设计

控制系统采用PC上位机和DSP下位机两级分布式控制结构,其系统结构如图2所示。

图2 运动控制系统总体设计

2 控制单元硬件设计

我们采用TI公司的TMS320LF2407型DSP作为控制单元。它具有独立的程序存储空间和数据存储空间,运算速度极快,时钟频率可达40MHz,由于其内置事件管理器模块(EVA, EVB),所以该型号的DSP在电机控制领域具有非常广泛的应用。

2.1 电源电路

由于机械臂各关节直流驱动电机采用的是12V电压,所以在控制电路系统中,设计12V的直流电源供电。因为大多数的电路板芯片采用的是5V电压,且DSP的内核电源、I/O电源、锁相环电源要求的是3.3V电压,所以需要设计两种独立的电源模块。首先使用L7805芯片将12V电源转变成5V,然后使用LM1117芯片将5V电源转变成3.3V,如图3所示。

图3 电源电路设计

2.2 时钟电路

TMS320LF2407的时钟源可以使用晶体,利用内部振荡器产生时钟,也可使用自外部晶振源。使用外部时钟时,时钟的精度高、信号比较稳定,因此我们选用外部时钟作为时钟源。同时,TMS320LF2407采用了锁相环技术,可以对外部时钟源进行倍频,控制器的晶振频率为l0MHz,经锁相环电路4倍频后,系统的时钟为40MHz,可以满足大量计算以及实时控制的要求。外部时钟和锁相环电路如图4所示。

图4 时钟电路设计

2.3 编码器电路设计

在编码器的设计环节中,把编码器输出的3个通道的差分信号转换成TTL电平的A,B,Z三个脉冲信号。A,B相经过光耦隔离后接DSP内部的正交编码器电路单元(QEP),用以测量电机的转速和位置。在软件中设置QEP为双向加/减计数模式,QEP电路使能时,A,B信号的上升沿和下降沿均被QEP计数,经锁相环后送到计数器中的信号频率是A,B信号脉冲频率的4倍;QEP的逻辑检测能够确定A,B哪个脉冲信号领先,产生一个方向信号DIR用来控制计数器的计数方向。编码器处理电路如图5所示。

对电机转速和关节绝对位置的测量,首先需要确定关节的零位。然后,通过外部传感器检测信号和光电增量编码器的z脉冲信号相结合的方式,便可确定关节的绝对位置。我们选用常开型霍尔接近开关,其接法如图6所示。其中HALL1,HALL2用以测定关节控制器运动的极限位置,HALL3用于绝对零位检测。

图6 霍尔接近开关的接法

2.4 上、下位机通讯电路

TMS320LF2407内置串行通信SCI模块,支持其他外设与DSP的异步串行的通信,因为 DSP芯片引脚电平与RS-232逻辑电平不同,因此需要通过MAX232芯片进行电平转换,如图7所示。值得注意的是,从MAX232引出来的信号不能直接与DSP连接,需要电阻分压。

图7 串行通讯电路的设计

3 单关节运动控制系统仿真

我们采用ADAMS和MATLAB软件对单关节机械臂运动控制进行仿真。其中ADAMS用以建立机械系统,MATLAB软件用以建立运动控制系统。用MATLAB控制输出ADAMS机械部分。

3.1 机械子系统的建立

通过在ADAMS软件中添加5个关节、电机驱动转矩、角速度参数,经过变量的赋值、函数的创建等方式后转换成MATLAB文件,在MATLAB文件中生成机械臂机械子系统,如图8所示。

图8 MATLAB环境下机械臂子系统

3.2 控制子系统的建立

对于机械臂的5个关节的位置控制,采用基于分解控制律的PID对末端的位姿控制,从而实现期望位置或期望轨迹[2]。在Simulink模块中建立仿真的控制部分,在适当位置嵌入机械部分模块,从而建立ADAMS和Simulink的联合仿真系统[3],如图9所示。

3.3 仿真结果

选择腰关节进行仿真实验,设置仿真时间为10s。在MATLAB中输入相应命令,运动90°后测试系统的角位移曲线,得到如图10所示的角位移曲线图。图10中实际试验曲线与仿真曲线不完全一致。当0.8s左右,关节才开始动作,这是由传动机构的间隙造成的,也有传感器的检测时间的原因。同时,控制系统存在一定的稳态误差,主要是由机械摩擦和传感器的精度误差所造成[4]。

4 结束语

因为仿真系统采用的是一个理想化,线性化模型,实际试验存在各种非线性因素,两者必然会存在误差。总体来说,控制算法和参数选取比较合理。机械臂的其余四个转动关节和腰关节一样,具有类似的阶跃响应特性。

图9 机械臂PID控制系统

图10 控制系统的阶跃相应曲线

[1]Abdel-Malek K, Yeh H J.Analytical Boundary of the Workspace for General 3-DOF Mechanisms [J].International Journal of Robotics Research.1997,16 (2):198-213.

[2]John J C.Introduction to Robotics: Mechanics and Control[M].北京:机械工业出版社,2010.

[3]李军,邢俊文,覃文杰.ADAMS实例教程[M].北京:北京理工大学出版社,2002.

[4]任崇轩.五自由度机械臂运动和控制仿真分析[D].广东:华南理工大学,2012.

猜你喜欢
锁相环伺服电机编码器
融合CNN和Transformer编码器的变声语音鉴别与还原
专利名称:一种适应压铸环境的伺服电机
一种降低时钟锁相环抖动的技术研究*
改进型单相锁相环设计与实现
基于锁相环技术的振荡器稳频调制器仿真研究
生产线中的伺服电机定位控制
伺服电机控制技术的应用及发展趋向分析
基于双增量码道的绝对式编码器设计
伺服电机控制在电阻焊机的应用研究
基于数字信号处理的脉冲编码器