基于现场可编程门阵列的智能配电站安防巡检系统设计与实现

2022-05-23 03:39陈标发陈传东魏榕山罗海波
电气技术 2022年5期
关键词:安全帽卷积神经网络

陈标发 陈传东 魏榕山 罗海波

基于现场可编程门阵列的智能配电站安防巡检系统设计与实现

陈标发1陈传东1魏榕山1罗海波2

(1. 福州大学物理与信息工程学院,福州 350108; 2. 闽江学院计算机与控制工程学院,福州 350121)

在我国电力系统中,配电站属于电力网络边缘节点,是电力系统中的重要环节。然而,人工巡检或一些传统硬件设备的数据采集手段,由于成本及效率等问题,已经无法匹配当下的安防巡检需求。针对此问题,本文提出一种基于现场可编程门阵列(FPGA)的智能配电站安防巡检解决方案。首先利用YOLOv4-tiny网络实现安全帽佩戴检测、工作服着装检测、越界预警识别等功能,其准确率可达93.5%;其次针对配电站的应用场景,本文利用FPGA在边缘设备上实现实时检测的效果,并从并行展开及流水线等方面进行加速优化。实验结果表明,该系统可在ZCU102平台上实现每秒传输帧数为68的检测速度,整体平均性能达到228十亿次运算/秒。

智能配电站;YOLO;现场可编程门阵列(FPGA);安防巡检

0 引言

在我国电力系统中,配电站作为电网末端与用电设备相连的关键节点,与输电、变电同样是电力系统中的重要环节。随着社会经济的高速发展,以及配电站在整个电力网络中的特殊地位,其数量也日益增加。人工巡检或一些传统硬件设备的数据采集手段[1],由于成本或效率等问题,已经无法完美匹配当下数以万计的配电站安防巡检需求。并且,配电站属于高电压、高危场所,如何高效、安全地监管进入站房的工作人员及其施工区域是否合规等,更是一个重要问题。当前的主流做法是采用安装相关传感器的方式进行监管,该方案存在成本高、无法存证等问题。因此,有必要研究与设计新的监管方法,在低成本的条件下实现证据链的保存和违规识别的高准确率[2-3]。

在传统硬件设备环境感知的基础上,本文提出一种基于机器视觉的智能配电站安防巡检解决方案。此方案可通过视频监控设备,实现对配电站房内视频流的视觉分析,通过YOLO(you only look once)卷积神经网络进行目标检测,从而实现安全帽佩戴检测、工作服着装检测、越界预警识别等功能。

在实际应用中,绝大部分卷积神经网络都是部署在中央处理器(central processing unit, CPU)和图形处理器(graphics processing unit, GPU)上,然而CPU的高延时、低效率,GPU的高成本、高功耗的缺点决定了其难以在边缘设备上实现。张量处理器(tense processing unit, TPU)、网络处理器(neural- network processing unit, NPU)作为特殊的专用集成电路(application specific integrated circuit, ASIC)方案,研发成本高,研发周期长,且完成后难以更改,难以适应更新迭代较快的神经网络算法。因此,本文利用现场可编程门阵列(field programmable gate array, FPGA)的可定制性、可重构性及高并行度[4]的独特优势,设计一套基于FPGA的快速推理模型。

1 YOLO卷积神经网络

1.1 YOLO模型

近些年来,有关目标检测算法的研究取得了一定的进展。目前比较流行的算法可以分为基于候选区域的两阶段(two stage)目标检测算法[5]和单阶段(one stage)目标检测算法[6],包括YOLO、SSD(single shot multibox detector)等。相较于两阶段目标检测算法,单阶段目标检测算法虽然在精度上略有不及,但其在模型参数量及检测速度上均有一定优势。

YOLO[7]是一种基于深度神经网络的对象识别和定位算法,是较为优秀的目标检测架构之一,其在检测实时性方面具有较大优势。YOLO将生成候选区和对象识别这两个阶段合二为一,利用整张图片作为网络的输入,直接在输出层回归边界框的位置和所属类别。YOLOv4-tiny[8]是在YOLOv4算法的基础上进行压缩设计的,其结构更为简单,参数量只有原来的十分之一,这使YOLOv4-tiny的检测速度获得较大提升,使之部署在移动和嵌入式设备上成为可能。因此本文采取YOLOv4-tiny网络进行目标检测。而对于部分对速度、功耗、资源不敏感,对精度有较高要求的场景,可使用YOLOv4或其他卷积神经网络。

1.2 数据集采集

由于配电站环境复杂多变,通过监控摄像头和公开数据集获取更为丰富的数据集。利用监控摄像头进行视频采集,并经过筛选及处理共获得12 928张图片。此外,还从公开数据集中获得9 873张图像文件。完成图像采集后,使用开源项目LabelImg进行标定工作。数据集各类标签比例如图1所示,通过对数据集标签文件的分析可知,本次采集的数据集中共四种检测目标,即穿着工作服的行人、未穿着工作服的行人、已佩戴安全帽的头部、未佩戴安全帽的头部。

图1 数据集各类标签比例

1.3 训练结果

利用本次采集的数据集对YOLOv4-tiny模型进行训练,训练结果各项指标见表1。其中,Precision为准确率;Recall为召回率;MAP.5为将IOU(intersection over union)设置为0.5时,每个类别的AP(average precision)的平均值。

表1 模型训练结果指标

利用训练好的模型对验证集图片进行检测,如图2所示,能够准确识别画面中所出现的工作人员是否佩戴了安全帽,以及是否穿着工作服。若正确佩戴安全帽且穿着工作服,则不出现提示信息,使用绿框框出工作人员;若错误佩戴或未佩戴安全帽,则使用黄框框出对应工作人员头部,并使用“Helmet”在头部进行标注;若未穿着工作服,则使用黄框框出对应工作人员,并使用“Dress”在头部进行标注。

图2 效果展示

2 FPGA加速器设计

2.1 量化方案

神经网络的训练一般采用浮点数进行计算,然而浮点运算在硬件平台上的实现比定点计算更加复杂,运算效率更低。为减少硬件资源消耗,提高系统推理速度,对YOLOv4-tiny模型进行8bits对称线性量化[9]。对称线性量化具有高效、易于硬件实现的优势,其具体量化公式为

式中:为输入浮点数据;Q为量化后的定点数据。

2.2 系统架构设计

系统架构如图3所示。CPU负责统筹协调任务并发送指令。PL端主要负责YOLOv4-tiny网络的加速实现。由于片上存储资源有限,采用片外数据方向寄存器(data direction register, DDR)协同存储数据。

PL端可通过配置直接存储器访问(direct memory access, DMA)实现输入输出数据的传输。指令存储于随机块存储器(block random access memory, BRAM),其中包括操作模式、配置参数、存储位置等指令。Command Analyzer作为核心控制模块,负责解析指令,并输出相应的控制信号。池化模块、上采样模块、卷积模块等计算单元,从输入缓冲区读取输入特征图,利用数字信号处理(digital signal processing, DSP)资源进行相应计算,中间数据缓存于输出缓冲区,计算完成后进行量化、激活,最终存入输入缓冲区。

图3 系统架构

2.3 加速器优化设计

YOLO网络经历多次的卷积和池化层,其中包含大量的重复循环操作,因此需对数据排布与存储、计算过程等方面进行优化与改进。本文考虑采用并行展开[10]与流水线技术[11]来提高并行度,增加系统吞吐量。

并行展开是一种用面积换速度的设计方法,通过在硬件上重复设计多个计算单元,可以实现一定数量计算单元的并行运算。卷积优化架构如图4所示,卷积过程中,考虑到随着网络层数的增加,特征图尺寸越来越小,而通道数越来越大,故若直接按照特征图宽度和高度进行并行展开,当宽度和高度小于并行度时则无法充分利用重复设计的硬件单元,造成资源的浪费且无法达到加速的目的。因此选择对输入通道及输出通道进行并行展开。充分利用DSP资源,提高系统并行度,从而增大系统吞吐量。

流水线技术广泛运用于硬件架构设计中,它可以缩短运行周期并有效提升系统效率。本文对循环中的任务采取流水线技术优化,将原有卷积操作进行细分,以周期为单位细分读数据、乘法、累加、写数据等操作,使每个环节在每个周期都可以有连续的输入与输出。以卷积中的累加操作为例,如图4中PE所示,通过加法器树的设计,使每个周期并行展开的乘法结果都可以开始累加操作而不互相依赖,从而实现流水线设计。

图4 卷积优化架构

3 结果与分析

为了评估本文的优化策略,使用Xilinx公司的ZCU102开发板进行验证。性能评估见表2,DSP资源主要用于进行乘法运算,BRAM资源主要用于存储输入图片、权重、量化参数等数据。为了提升并行度、加快检测速度,各逻辑模块消耗了较多的触发器和查找表(look up table, LUT)资源。系统时钟频率150MHz的情况下,整体平均性能达到228十亿次运算/秒(giga operations per second, GOPS),峰值性能达到307GOPS,系统实现每秒传输帧数(frames per second, FPS)为68的检测速度。

表2 性能评估

4 结论

本文针对当下配电站存在的缺陷及其需求,设计了一套基于FPGA的智能配电站安防巡检系统。

通过YOLOv4-tiny网络实现安全帽佩戴检测、工作服着装检测、越界预警识别等功能,达到了危险预警和异常告警的目的。在ZCU102 FPGA开发板上进行了加速器的实现与优化,通过并行展开及流水线操作两方面进行加速优化,最终实现68FPS的检测速度,整体平均性能达到228GOPS,峰值性能可达307GOPS。考虑到配电网络结构庞大多变,配电站环境较为复杂,可通过增加、修改数据集,利用神经网络的自学习、自适应能力,重新训练获得网络模型,并更新硬件系统相关参数本,以适应新场景的需求。因此,本文提出的解决方案具备较强的通用性,能够大幅降低整个电力网络在配电站环节针对安防巡检的投入成本,并有效提高整个电力网络的运行效率,最大限度保障人员、设施安全,为配电站安防巡检的智能化发展提供参考。

[1] 朱志伟. 基于大数据的35kV变配电站智能监控整体解决方案的实现[J]. 电气技术, 2018, 19(7): 63-67.

[2] 赵凯, 孙豪文. 10kV配电物联网智慧台区关键技术与实践[J]. 电气技术, 2020, 21(7): 80-84.

[3] 周国亮, 朱永利, 王桂兰, 等. 实时大数据处理技术在状态监测领域中的应用[J]. 电工技术学报, 2014, 29(增刊1): 432-437.

[4] DING Ru, SU Guangda, BAI Guoqiang, et al. A FPGA-based accelerator of convolutional neural network for face feature extraction[C]//2019 IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC), Xi’an, China, 2019.

[5] ZHANG Yang, SONG Chenglong, ZHANG Dongwen. Deep learning-based object detection improvement for tomato disease[J]. IEEE Access, 2020, 8: 56607- 56614.

[6] ALGABRI M, MATHKOUR H, BENCHERIF M A, et al. Towards deep object detection techniques for phoneme recognition[J]. IEEE Access, 2020, 8: 54663- 54680.

[7] REDMON J, DIVVALA S, GIRSHICK R, et al. You only look once: unified, real-time object detection[C]// 2016 IEEE Conference on Computer Vision and Pattern Recognition (CVPR), Las Vegas, NV, USA, 2016: 779-788.

[8] JIANG Zicong, ZHAO Liquan, LI Shuaiyang, et al. Realtime object detection method based on improved YOLOv4-tiny[EB/OL]. [202011]. https://arxiv.org/abs/ 2011.04244v1.

[9] JACOB B, KLIGYS S, CHEN B, et al. Quantization and training of neural networks for efficient integer- arithmetic-only inference[C]//IEEE/CVF Conference on Computer Vision and Pattern Recognition, Salt Lake City, US, 2018.

[10] 黄兆伟. 基于FPGA的深度卷积神经网络硬件加速方法研究[D]. 长春: 东北师范大学, 2020.

[11] 朱建鑫, 胡海兵, 陆道荣, 等. 应用于级联STATCOM的高精度低成本全FPGA实时仿真模型研究[J]. 电工技术学报, 2019, 34(4): 777-785.

[12] ZHANG Shiguang, CAO Jian, ZHANG Quan, et al. An FPGA-based reconfigurable CNN accelerator for YOLO[C]//2020 IEEE 3rd International Conference on Electronics Technology (ICET), Chengdu, 2020: 74-78.

[13] ADIONO T, PUTRA A, SUTISNA N, et al. Low latency YOLOv3-tiny accelerator for low-cost FPGA using general matrix multiplication principle[J]. IEEE Access, 2021, 9: 141890-141913.

[14] PESTANA D G, MIRANDA P R, LOPES J D, et al. A full featured configurable accelerator for object detection with YOLO[J]. IEEE Access, 2021, 9: 75864-75877.

Design and implementation of security inspection system for ntelligent distribution station based on field programmable gate array

CHEN Biaofa1CHEN Chuandong1WEI Rongshan1LUO Haibo2

(1. School of Physics and Information Engineering, Fuzhou University, Fuzhou 350108; 2. School of Computer and Control Engineering, Minjiang University, Fuzhou 350121)

In Chinese power system, distribution station belongs to the edge node of power network and is a key link in power system. However, manual patrol inspection or data acquisition methods of traditional hardware equipment can not match the current security patrol inspection requirements due to problems such as cost and efficiency. To solve this problem, this paper proposes a security inspection solution of intelligent distribution station based on FPGA. Firstly, YOLOv4 tiny network is used to realize the functions of helmet wearing detection, work clothes wearing detection and cross-border early warning identification, with an accuracy of 93.5%. Secondly, for the application scenario of power distribution station, this paper uses FPGA to realize the effect of real-time detection on edge devices, and optimizes from the aspects of parallel deployment and pipeline. The results of the experiment show that the system realizes the detection speed of 68 frames per second on ZCU102 platform, and the overall average performance reaches 228 giga operations per second.

intelligent distribution station;YOLO;field programmable gate array (FPGA);security inspection

2022-01-18

2022-02-25

陈标发(1996—),男,浙江台州人,硕士研究生,主要研究方向为深度学习及硬件加速。

国家自然科学基金青年项目(61902167)

福建省自然科学基金面上项目(2021J011015)

猜你喜欢
安全帽卷积神经网络
基于全卷积神经网络的猪背膘厚快速准确测定
基于神经网络的船舶电力系统故障诊断方法
MIV-PSO-BP神经网络用户热负荷预测
基于改进Hopfield神经网络的对地攻击型无人机自主能力评价
一种基于卷积神经网络的地磁基准图构建方法
基于3D-Winograd的快速卷积算法设计及FPGA实现
一种并行不对称空洞卷积模块①
爆笑四格
三次样条和二次删除相辅助的WASD神经网络与日本人口预测
危险