双口

  • 电流串联负反馈网络的完整作用机制新解
    反馈网络B都视为双口网络;这2个双口网络在输入端口的联接可能有串联或并联2种方式,在输出端口的联接也有串联或并联2种方式,于是将负反馈放大器画成显示2个相连接的双口网络的方框图,并在此基础上进行讨论.电流串联负反馈放大器(交流通路,见图1)对应的AB分离的方框图一般形式(见图2),即2个双口网络的输入端口串联,输出端口也串联.这样的操作,在现行教材中已是常见的通例[8-10],是进一步的概念推理乃至分析计算的出发点,但连接后的2个双口网络相关计算将变得极为

    高师理科学刊 2023年7期2023-08-23

  • 某型飞机1553B 总线控制功能故障分析
    通过B 口存储在双口存储器中;CPU 模块经由VME 总线、逻辑芯片通过A 口访问双口存储器,调用SIO_429_Read-Block 函数统计ARINC429 接口接收到的数据帧。根据SIO_429_ReadBlock 函数工作原理及涉及的软硬件资源,建立如图2 所示的故障树,下面依次对故障树中各个底事件进行分析。图2 0x300 例外的故障树2.1 软件设计错误(PG1)软件设计错误会导致程序进行非预期循环,进而导致数组越界,造成0x300 例外。SI

    教练机 2023年1期2023-04-26

  • 永磁同步电机的双口三自由度内模自适应控制器设计
    提出了PMSM的双口三自由度内模自适应控制方法,对系统的电流环和速度环分别进行了设计。对电流环的设计主要是为了解决电机的电流耦合问题,提高系统的抗扰能力。现在广泛应用的磁场定向控制策略虽在一定程度上实现了电流解耦,但没能实现完全解耦。文献[3]根据传统前馈解耦方法的不足,在同步电动机的AC-DC-AC变频调速控制系统中采用更为先进的内模控制方法,成功实现了定子电流交叉耦合电势的动态完全解耦,但是一自由度的内模控制只有一个可调节参数,不能使系统的跟随性、抗干

    微特电机 2023年1期2023-02-13

  • 惯性/GNSS组合导航系统双口RAM导航计算机设计与实现
    ,本文设计了一种双口RAM[2]的双DSP导航计算机,即由两个DSP对导航计算机的任务进行分工,构成主从式系统各自完成相应功能,并充分利用双口RAM独特的数据共享存储能力,其最大访问时间为20ns,无需插入等待,解决了双处理器之间数据通信的延时问题,高效实现了主从DSP之间的实时通信。1 惯性导航系统组成惯性导航系统由MEMS传感器电路(内置1个三轴陀螺仪、1个三轴加速度计、1个三轴磁力计、1个气压计)、导航计算机、二次电源及GNSS接收装置组成,如图1所

    导航与控制 2022年1期2022-05-08

  • 一种数据链通信偶发故障剖析
    ,对发往DSP的双口数据进行CRC校验,确认该故障发生在CPU模块访问DSP模块双口存储器的时候,此访问过程的硬件组成与原理如图2所示。由图2可知,DSP模块双口存储器的地址线为单向输入信号,由CPU模块处理器产生,经驱动器后输出到DSP模块。而数据线为双向输入输出信号:在CPU模块写DSP双口时,由CPU模块处理器驱动后输出到DSP;在CPU模块读DSP双口时,由DSP双口驱动后输出到CPU模块。图2 CPU模块访问DSP双口存储器的原理图2 故障剖析与

    数字通信世界 2021年12期2022-01-06

  • 城乡融合背景下中心镇的演进动力机制 ——基于要素-结构-绩效的研究范式
    新阵地。以天津市双口镇为例,深入剖析了中心镇改革发展过程中的阶段演进特征,从政府和市场互动角度揭示了中心镇空间重组模式的时段演化特征。研究发现,当前中心镇的空间重组存在乡镇合并、县辖区、县辖市、中心镇功能区、中心镇共同体五种模式[1],政府和市场的力量起到了重要的推动作用。中心镇空间重组经历了自身条件重组、政府主导期和市场主导期三个发展阶段,各阶段之间能否实现顺利转换和跃迁决定了中心镇空间重组发展的成败[2]。从1949年中华人民共和国成立以来,我国的城乡

    乡村科技 2021年36期2021-12-02

  • 双口形式的戴维宁定理在电路分析中的应用
    定理的应用推广为双口网络。网络撕裂算法,包括支路撕裂法和节点撕裂法两类。其基本思想是把复杂网络分解成子网,对各子网在分割边界处分别进行等值计算,求出分割边界处的协调变量[1]。分块计算可以提高计算效率。二端口网络又称双口网络,是多端口网络中最常见的一种形式,电工电子技术中很多实际问题都可以利用双口理论进行研究。本文基于支路撕裂法,探讨双口形式的戴维宁定理及其在电路分析中的应用,供大家参考。1 基于双口网络的戴维宁定理1.1 撕裂网络为叙述方便,本文讨论的有

    电气电子教学学报 2021年4期2021-08-24

  • 任意阶高运算恒定性分抗逼近电路 ——标度格型级联双口网络
    近电路的等效无源双口网络, 探讨该双口网络右侧端口的运算有效性, 设计具有高运算恒定性的任意阶标度分形格分抗逼近电路.结合负实零极点对基元系统的零极点分布及其局域化特性, 阐述具有任意实数阶微积算子运算功能的标度分形格分抗逼近电路运算振荡现象的物理本质, 并从理论上分析有效抑制频域运算振荡现象的方法.结合对称阻容T 型节电路优化理论及方法, 对任意阶对称格型级联双口网络的频域逼近性能进行优化, 获得具有高逼近效益的任意阶标度分形格分抗逼近电路.具有低振荡幅

    物理学报 2021年4期2021-03-04

  • 基于双口RAM双CPU的高速旋转LED的显示系统
    本文提供一种基于双口RAM双CPU的高速旋转LED 显示方法,将视频图片文件在上位机的时候就转化为LED 格式的可直接用于显示的数据,无需再将数据在控制板的ARM 模块中转化,加快数据的传输与读取速度。采用2个CPU加1个双口RAM以及1个CPLD实现更高的分辨率。采用无线供电的方式简化了机械式复杂的机械结构,并且无需定时更换电刷,解决了现有技术中的问题。使用自己设计的文件管理系统,以数据流的形式存放在NANDFLASH之中,相较于FAT32 格式的文件,

    机电工程技术 2020年10期2020-11-27

  • 基于STM32H7的FDCAN通信系统设计与实现∗
    PGA开发板间的双口RAM通信[7]。此外,根据项目需要STM32H7开发板还实现了每秒向上位机发送一帧特定格式数据帧以便上位机实时监测信号采集系统的运行状态[8~11]。图1 上位机与信号采集系统间FDCAN通信系统框图本文使用的STM32H743ZI开发板如图2所示,在调试实验中采用PC-CAN总线接口卡连接上位机和开发板CANH与CANL管脚[12]。图2 STM32H7开发板此外,如图3所示本文采用STM32H743ZI开发板与FPGA通过一块2M

    舰船电子工程 2020年5期2020-07-09

  • 一种基于软件定义的可重构I/O控制器设计
    件部分由16KB双口RAM、调度器、ROM指令存储器、RAM数据存储器、硬件逻辑组成。双口RAM用于主机CPU与控制器进行数据传递和信息交换。调度器负责配置信息的解析和I/O任务的处理,通过双口RAM获取需要执行收发操作的接口相关信息和要发送的数据。ROM是指令存储器,RAM是数据存储器。硬件逻辑基于北京控制工程研究所电子中心开发的IO_ASIC实现,其集成了多种外设接口,包括同步串口、SPI、CAN控制器、UART等。双口RAM存储区域分成三部分:标志位

    电子元器件与信息技术 2020年2期2020-05-14

  • 基于Avalon总线的双口RAM与PCI总线数据交换的设计
    会采用FIFO和双口RAM这两种模式作为数据交换和存储的共享存储器。FIFO(first input first output)是数据从一端先写入然后在另一端优先读出来的存储器,一般用于异步时钟的数据交换系统中,充当两个不同时钟系统间的数据暂存器。所以称它为异步FIFO。双口RAM是具有两个端口的静态存储器,两个端口分别有其自己的数据线,地址线和控制线,由于端口两边有独立的总线,所以两个CPU可以对双口RAM同时进行读写操作,或者一个CPU在一端对其读操作

    计算机测量与控制 2020年4期2020-04-29

  • 新型果树疏花疏果双口剪和双体剪
    对苹果的疏花疏果双口剪和针对猕猴桃去耳蕾的双体剪,可提高工效2~3倍。苹果疏花疏果双口剪(图1)。作业时,将要保留的中心花柄、果柄套入中位刀(5)前端的花果柄保护槽(2)中,边花和果柄会自然夹在中位刀(5)两边的剪刃中。握住剪刀手柄,铰合剪刀,刀刃闭合,处于两边剪刃中需疏除的花柄、果柄就会被剪断掉落。扭簧(6)的对称结构及中位刀体(5)下端正中的滑槽保证两边剪刃闭合角度一致,使两边可以同角度同时完成剪切。上位刀刃(1)与下位刀刃(3)铰合不会超过花果柄保护

    落叶果树 2020年2期2020-04-20

  • 改进工业用氢氧化钠中氯酸钠含量测定方法
    采用500 mL双口烧瓶加热后连接分液漏斗,形成密闭空间,冷却后形成负压,分液漏斗完成加液步骤,反应后再转移至100 mL容量瓶中定容,测吸光度,设备复杂,步骤繁琐。现直接用100 mL容量瓶代替双口烧瓶做反应容器,用注射器通过胶皮赛进行加液,保证密闭性。对其进行改进后,测定效率明显提高。1 GB/T 11200.1-2006氯酸钠含量测定方法1.1 主要试剂及仪器图1 GB/T 11200.1-2006中氯酸钠测定装置示意图主要试剂:盐酸(优级纯) ;1

    山东化工 2020年5期2020-04-07

  • 基于PC104+的转台信号处理器设计与实现
    GA 主控芯片、双口RAM、D/A 转换、运放等外围电路组成,主要完成D/A 输出、码盘信号采集、与远程计算机及主控计算机的通信的功能。DSP 和CH365 负责与主控计算机的通讯,FPGA 负责与远程计算机的串口通信和码盘数据采集和处理。2 系统硬件设计2.1 PC104+接口设计PC104+总线兼容PCI 协议,PCI 总线分别32 位和64 位,最大传输率可达264 MB/s。 其独立于CPU总线,故能够支持多种处理器,但是相较于ISA 等总线,PC

    自动化与仪表 2019年9期2019-10-09

  • 双口RAM在航天伺服系统中的应用
    PGA)间多采用双口随机存取存储器(Random Access Memory, RAM)进行数据传输实现数据的缓存和共享,高速可靠的数据传输成为系统的关键单点。现有防止双口RAM访问冲突的方式各种各样,有基于旗语逻辑的令牌仲裁方式[3-5]、中断等待方式[6-7]、优先级抢占方式[8-9]、循环缓冲方式[10-14]等。其中令牌仲裁方式和优先级抢占方式类似,设计了双口RAM数据访问的优先级机制,经握手后确定访问顺序,优先级低者需进行等待或再次请求,一方面增

    导航定位与授时 2019年5期2019-09-13

  • 采用邻-联甲苯胺分光光度法对工业氢氧化钠中氯酸钠含量的测定
    分液漏斗。(4)双口烧瓶:500 mL。(5)水浴:可控在(50±2)℃。1.4 分析步骤1.4.1 标准曲线绘制(1)依次加人0 mL、0.5 mL、1.0 mL、1.5 mL、2.0 mL、2.5 mL 氯酸钠标准溶液于双口烧瓶中, 分别加入3.0 mL、2.5 mL、2.0 mL、1.5 mL、1.0 mL、0.5 mL 水,再加人3.0 mL 氢氧化钠溶液。(2)将双口烧瓶浸人约50 ℃的水浴中约0.5 min,取出,迅速将装有8.0 mL 盐酸和

    中国氯碱 2019年7期2019-08-27

  • 关于ARM+FPGA组建PLC高速指令控制器的研究
    将PLC指令通过双口RAM存储器传送到输入输出模块和逻辑运算模块,并将执行之后的结果上传至双口RAM,返回至ARM处理器,以实现PLC指令的执行过程[2]。构建成一种基于FPGA的PLC指令控制器,设计的指令按照相应的时序和指令通信协议执行。2 结构设计设计的PLC高速指令控制器结构由ARM和FPGA两部分组成,根据PLC指令的执行要求,组件的系统结构分为指令传输部分和指令处理部分,通过结构进而分析指令的执行过程,结构如图1所示。指令由ARM发出,通过总线

    科技与创新 2019年2期2019-02-14

  • 青海双口山铅银矿床地质特征及控矿因素探究
    810600)双口山的地理位置为北纬37°,东经95°,在青海省海西州大柴旦镇的西南边约24公里处。柴北缘是非常重要的金属矿产地,双口山位于它的中西部,处在多个单元地质构造处,经过了很长时期的演化,有利于矿产的形成。通过对双口山铅银矿区的地质特征进行分析,在结合前人对这个地区研究的资料,讨论双口山矿区形成矿产的因素和控矿的原因,为接下来的找矿提供一个基础[1]。1 区域地质状况双口山矿区位于柴达木盆地造山带的西部,属于秦岭祁连阿昆造山带,处在柴北缘的裂谷

    世界有色金属 2019年6期2019-02-09

  • 基于双口内模控制的导引头稳定回路设计*
    ,并进一步介绍了双口内模控制改善扰动抑制比的优点。笔者在此基础上,设计了基于二次型性能指标的双口内模控制方法,实现了控制器参数在线自适应调节,仿真结果表明该方法满足了导引头稳定回路对于鲁棒性和良好动态响应的要求。1 导引头稳定回路建模导引头伺服系统在工作过程中分为3个模式,即预定模式、搜索模式和跟踪模式。其工作原理如图1所示。图1中,θg表示框架角,d为弹体扰动角速率,θt表示目标位置角,θl表示光轴在惯性空间的姿态。雷达导引头伺服系统是一个复杂的光、机、

    火力与指挥控制 2018年12期2019-01-14

  • Matching mechanism analysis on an adaptive cycle engine
    Zheng Junchao,Chen Min,Tang HailongSchool of Energy and Power Engineering,Beihang University,Beijing 100083,ChinaMatching mechanism analysis on an adaptive cycle engineZheng Junchao,Chen Min,Tang Hailong*School of Energy and Power

    CHINESE JOURNAL OF AERONAUTICS 2017年2期2017-11-20

  • Matching mechanism analysis on an adaptive cycle engine
    M3算法中使用双口RAM对参数进行存储,寄存器用于流水线中的数据缓存,通过表1可以看出本文提出的算法占用硬件资源极少,有效地节约了硬件成本。When both the second and third bypasses are open at Mode M3,the power-balance equation of the LP rotor and the flow compatibility equation of the CDFS at Mode

    CHINESE JOURNAL OF AERONAUTICS 2017年2期2017-11-20

  • 给水栓消力池型式与特点探讨
    别为:单口矩形,双口矩形,单口八字形,单口六边形,双口六边形,双口Τ字形,单口圆形,双口圆形等。就其结构主要有两种,分别为:砼现浇、砼预制型。其结构见图1至图9。图1 单口矩形预制式给水拴消力池图2 单口矩形现浇式给水拴消力池图3 双口矩形给水拴消力池图4 单口八字形式给水拴消力池图5 单口六边形给水拴消力池图6 双口六边形给水拴消力池图7 双口T字形给水拴消力池图8 单口圆形给水拴消力池图9 双口圆形给水拴消力池2 各种型式的结构特点1)单口矩形。池形为

    山西水利科技 2017年2期2017-07-31

  • 双口小号的艺术特色研究 ——以小号协奏曲《西班牙圣诞夜》为例
    刘一双口小号的艺术特色研究 ——以小号协奏曲《西班牙圣诞夜》为例刘一文章全面介绍了双口小号的发展历程,并与传统小号的音色和形制进行了详细对比,然后通过对最具代表性的双口小号协奏曲《西班牙圣诞夜》的曲式结构、旋律音调和特色技法及音响特征的分析和研究,呈现了双口小号的艺术特色。双口小号;沃尔夫·科塞克;《西班牙圣诞夜》;曲式分析;演奏技法引言随着时代的不断发展与艺术的持续创新,在小号的发展历程中各类型的小号层出不穷,其中在20世纪60年代产生的双口小号,持续发

    黄钟-武汉音乐学院学报 2017年2期2017-06-21

  • 基于PCI Express总线的同步时钟卡的设计与实现
    芯片联合单片机、双口RAM、CPLD等芯片来设计GPS同步时钟卡的硬件设计方案,并用VC++开发设计应用软件用于满足时间的测试与显示需求。测试结果证明同步时钟卡工作稳定,保证了数据传输的连续性和完整性。PCI Express总线;CH367;小批量数据;同步时钟卡时间是基础研究、科技实验和自动控制系统等领域的一个非常重要的参数,它为电力自动化系统中的时序计量提供了至关重要的时间基准[1]。电力系统中的故障录波和故障诊断等,需要毫秒级的精确时间计量。为了实现

    电子设计工程 2017年1期2017-05-09

  • 基于ZigBee的工业无线网关设计与应用
    制和处理,并通过双口RAM连接,实现两种网络的数据交换和协议转换。描述了该网关的硬件组成、工作流程及数据交换过程,实验结果验证其可靠性高、实时性强,很好地实现了无线网络和有线工业网络技术的相互结合,具有广阔的应用前景。Profibus-DP; ZigBee; 现场总线; 工业无线网关; 双CPU; 双口RAM0 引 言近年来,物联网技术快速发展,与此相关的无线传感器网络ZigBee技术也得到了飞速发展,ZigBee技术以其可靠性高、成本低、功耗低、布局灵活

    实验室研究与探索 2017年3期2017-04-25

  • 一种鞍座
    00天津市北辰区双口双口工业区永保路21号发明人:孙征远Int. Cl.:B62J1/00(2006.01)I;B62J1/18(2006.01)I摘 要:该实用新型涉及自行车或电动车领域,一种鞍座,包括:鞍座本体,所述鞍座本体由上到下依次设置有皮革层、发泡层、底板、护边和鞍梁,所述皮革层粘结所述发泡层,所述发泡层粘结所述底板,所述底板下部插接所述鞍梁,所述底板的边缘焊接有所述护边;所述护边设置有环形槽结构,所述环形槽结构包括内槽面、外槽面和底槽面,所述

    科技资讯 2016年29期2017-02-28

  • 应用于电力电子变压器的通信技术
    了一种多控制器与双口RAM相结合的通信控制方式,并详细介绍了各功率单元、各功率级之间数据信息传输及处理的工作方式。最后,以功率传输级为例进行实验,结果表明该通信控制方式可以更好的实现电力电子变压器的智能协调控制。电力电子变压器作为新一代智能电网的关键设备,具有高频电气隔离、功率因数可调、故障隔离、控制自由度高以及可实现与电网互联通信等优点,不仅能够能支持分布式发电、储能、可控负荷等设备即插即用,还可以有效地对电能进行管理调度以及故障隔离。在智能电网建设中,

    中国科技信息 2016年17期2016-10-11

  • 一种CPU和FPGA的快速交换数据方法
    记录该地址,并使双口RAM的地址自动递增,不需要CPU再给出地址,这样CPU可以操作多个连续地址中双口RAM的数据。经过测试,该方法可以大大提高CPU和FPGA进行数据交换的速度。CPU;FPGA;Burst;快速数据交换引 言随着可编程逻辑控制器(Field Programmable Gate Array,FPGA)的应用日渐广泛,很多系统采用了中央处理器(Central Processing Unit,CPU)+FPGA的架构方式。由于FPGA可以并行

    单片机与嵌入式系统应用 2016年8期2016-09-15

  • 青海双口山铅锌矿床探讨
    10600)青海双口山铅锌矿床探讨■王小龙 刘季清 李文成(青海省第一地质矿产勘查院青海海东810600)目 前我国铅锌矿可供开 釆的储足已现紧张局面。因此,加大铅锌矿调查评价力度,特别是加强富铅锌矿找矿作,发现并评价一批新的大型、超大型矿产地,为我国铅锌矿产业可持续发展提供后备资源,已成为当前我国战略性矿产勘查工作的一项紧迫任务。本文就以青海双口山铅锌矿床为例,对该矿区的地质特征及矿床成因进行分析。双口山铅锌矿床 特征 成因目前我国己成为世界上铅锌储量大

    地球 2016年5期2016-04-14

  • 基于双端口通信的光栅光谱仪设计方法
    方法。该方法根据双口RAM双端口通信机制和查询测量参数指令地址的软件设计方法,采用FPGA控制PC/104总线作为主控及数据处理平台与双口RAM数据通信及控制总线,在兼容原有系统成熟模块的前提下,实现工控板对光谱分析仪参数设置和数据测量。实验结果表明,该方法切实可行,且相对于原有光谱分析仪产品,平均测量时间缩短83.7%。关键词:光谱分析仪; 双口RAM; 地址查询; 缩短测量时间引言光纤通信技术由于具有传输容量大、传输速率高、抗电磁干扰、保密性强等优点[

    光学仪器 2016年1期2016-03-30

  • 高效的双CPU系统安全数据交互机制的应用
    ,提出了一种基于双口RAM的双CPU系统安全数据交互机制,经过在实际项目中应用,可以满足轨旁安全系统中数据传输的安全、可靠、实时、高速传输数据的需求。安全数据;双口RAM;自检;角色轮换轨道交通行业中,一些安全相关系统具有多块板卡,各个板卡负责不同的功能,并组成多CPU系统。各个CPU之间存在复杂的数据传输过程,为了提高系统的整体安全性,需要保证各个CPU系统之间传输的数据安全可靠,并且能够高速实时地交互,如果数据传输通道出现故障或者失效,导致交互的数据不

    铁路计算机应用 2015年4期2015-07-05

  • 应用于安全计算机的双口RAM数据通信方法※
    行预处理后,通过双口RAM传递给MPU。图1 三取二安全计算机架构MPU为逻辑运算和控制模块,负责对接收的安全数据进行逻辑处理,并产生相应的结果,控制整个系统安全的运行。MPU产生的结果数据通过双口RAM传递给HCU,然后HCU发送给相应的执行机构或者外部设备。JIU主要从外部接收数据,并对两个通道的数据进行一致性表决,表决通过后的数据作为系统的最终输出结果。双口RAM是MPU板和HCU板之间的数据交换空间,可以完成大批量、实时的数据交换。MPU板与HCU

    单片机与嵌入式系统应用 2015年2期2015-07-03

  • 创意改变生活(九)
    下后翻滚。讨巧的双口水龙头有了这款双口水龙头,吃完东西之后,洗完手还想顺便漱个口,完全不需用杯子,更没必要费劲地把头埋下去接水。这款双口水龙头设计得十分讨巧,只要用手指堵住下面的出水口,剩下的事就是张开嘴就好啦,既方便又快捷!鸭嘴形洗碗刷洗碗不仅要洗刷正面,碗的背面也很容易沾上各种油渍。这款鸭嘴形百洁布能够方便地“一次性”解决内外两面油渍问题,缩减洗刷过程,让你家的碗碟洁净如新……

    第二课堂(初中版) 2015年5期2015-06-18

  • 双口咸菜缸
    区实验小学彭晓荷双口咸菜缸◆山东省淄博市淄川区实验小学彭晓荷咸菜是家家户户饭桌上必不可少的美味小菜。传统腌制咸菜的方法是将洗干净晾干后的白菜或芥菜等放入一个装满盐水的单口大缸里。缸底的咸菜入味比较快,但由于缸比较深,我们要想最快吃到腌好的咸菜比较困难。怎样才能方便快捷地吃到美味的咸菜呢?我突然联想到暖气里的热水或热气是从管子的一端输进来,再从另一端输送出去的,觉得可以根据暖气循环输送的原理设计一个能循环腌制咸菜的容器,于是制作了双口咸菜缸。腌咸菜时,我们将

    发明与创新 2015年27期2015-04-17

  • 双口RAM在无人机三余度飞控计算机数据交换中的应用
    交叉传输通过三片双口RAM来实现,每两个通道间使用一片双口RAM,从而实现飞控计算机3个通道间两两通信。三片双口RAM的片选信号及读写控制逻辑由三片CPLD完成,系统结构如图1所示。2 工作原理图1 系统总体结构图Fig.1 Overall structure of the system正常工作时,PowerPC工作通道、x86工作通道和PowerPC监控通道共同进行任务周期同步,同步完成后,三通道同时采集传感器数据,进行控制律解算,并把重要数据写进对应的

    电子设计工程 2015年11期2015-01-16

  • 基于PC104的嵌入式组合导航系统设计
    及信号处理;通过双口RAM实现PC104与DSP之间的数据交换,使得系统运行效率大大提高。图1 系统硬件设计结构嵌入式并行处理系统中,作为系统控制核心的嵌入式计算机采用PC104CPU模块CoreModule-800E,该嵌入式系统模块与传统计算机软件兼容性较好,可以完成DSP的启动、运行及DSP的通信任务;双口RAM采用CY7C028,它是64K*16的低功耗CMOS型静态双口RAM,最大访问时间为12ns,可以承担PC104主机与DSP之间的数据交换的

    船舶与海洋工程 2015年5期2015-01-01

  • 基于FPGA的便携式手持设备串行接口设计
    计UART单元和双口RAM单元,允许任意设置所需的波特率,并可采用同一块FPGA芯片设计收发控制器实现逻辑控制和时序控制,从而实现串行通信,采用此种方法,电路板体积小、功耗小。基于以上优点,本文采用一块 Xilinx FPGA(XC2V250FG256)芯片实现串行通信。1 系统总体设计便携式手持设备串行通信系统由 3个部分组成:异步接收单元、异步发送单元和双口RAM单元。接收数据时,外部串行数据经过电平转换后被异步接收单元接收并转换成并行数据,通过接收单

    现代导航 2014年5期2014-12-31

  • DSP图像处理系统与PC机高速通信电路设计
    1]。而高性能的双口RAM无论是在并行处理网络中的数据共享,还是在流水线方式的高速数据传输方面,均能发挥重要作用。以双口RAM为核心设计接口电路,并与高速数据采集卡配合,构成高速数据传输介质,可以实现DSP图像处理系统与上位PC机之间的高速通信,且方法通用易行,可靠性高[2-3]。1 系统组成及工作原理基于双口RAM的DSP与上位PC机高速通信系统由4部分够成:DSP系统、接口电路、高速PCI数据采集卡以及上位PC机,具体结构如图1所示。接口电路以双口RA

    电视技术 2014年7期2014-11-20

  • 基于双口RAM的双CPU控制系统设计
    U结构,利用高速双口RA M实现双CPU间的信息处理。这样,不管是并行处理网络中的数据共享,还是在流水处理中的数据传送,都能保证数据通路的畅通;而且,随着低端微处理价格的不断下降,采用双CPU结构有时能避免采用高性能CPU上的高成本,获取更高的经济效益。1 设计双CPU系统的几个要点双CPU控制系统比单CPU控制系统更为复杂,本文着重从几个值得注意的要点予以探讨:(1)确保双CPU工作的协同和可靠在双CPU控制系统中,两个CPU应是同一系统中的两个不同的处

    通信电源技术 2014年1期2014-09-25

  • 基于DSP和FPGA双CPU架构的导航微机系统
    DL语言编程实现双口RAM接口完成双CPU的快速数据通信。两种CPU能分别发挥自身优势,协调地工作,提高了导航计算机的运行效率。导航微机系统;信号处理器;双CPU;双口RAM;捷联解算随着捷联惯性导航技术的成熟及其在无人机上的应用,促使捷联惯性导航系统向低成本、小体积和低功耗方向发展。捷联式导航系统采集信号种类多,算法较复杂,因此导航计算机需要有丰富的接口和快速的数据处理能力。传统的导航计算机大多为专用计算机、通用计算机平台、嵌入式工控机(PC104)等,

    应用科技 2014年3期2014-05-15

  • 一种基于双口RAM的冗余系统通信方法
    预研为背景,利用双口RAM接口电路简单、数据交互量大、传输速度高等特点,设计了一种基于PowerPC和双口RAM的冗余系统,并采用新颖的角色轮换和自检技术,不仅保证了数据传输,而且也提高了系统的安全性。1 系统总体交互方案车载信号系统主要由主处理系统MPS、安全I/O设备GVIO(General Vital I/O)和外部设备组成。MPS主要通过GVIO进行数据收发,与外部设备进行通信,完成系统的状态采集和控制功能。GVIO作为通信接口模块,支持多种接口与

    铁道通信信号 2014年12期2014-01-01

  • 经脐单切口与双口腹腔镜疝囊高位结扎术的比较
    采用经脐单切口与双口腹腔镜疝囊高位结扎术治疗,并对两组患者的术后疗效进行对比分析。现将结果总结报告如下。1 资料与方法1.1 一般资料 选取我院2009年12月至2012年3月收治的腹股沟斜疝患者70例,随机选取35例进行经脐单切口腹腔镜疝囊高位结扎术,另外35例行双口腹腔镜疝囊高位结扎术。单切口组中男32例,女3例,年龄11个月至13岁,平均年龄为4.4岁;单侧疝、双侧疝分别为33,2例。双口组中男性、女性分别有30,5例,年龄2~13岁,平均年龄为5.

    中国实用医药 2013年3期2013-11-21

  • 基于双DSP的多电机协同控制系统*
    传输量大[5]。双口RAM技术是一种数据共享的有效方式。1 双口RAM技术特点双口RAM是具有两组完全对称的地址线、数据线和控制线的静态RAM,同时还具有两套独立的中断逻辑、“忙”逻辑信号。双口RAM内部具有完善的仲裁逻辑电路,能很好地协调多处理器的读/写操作,十分便于多处理器系统的外部扩展应用。本文采用的双口RAM为IDT7024,是IDT公司研制的4 k×16的低功耗COMS型双端口静态RAM,其工业级最大访问时间为55 ns,非常适合芯片间高速、实时

    电机与控制应用 2012年2期2012-08-28

  • 基于FPGA和DSP的多路同步数据采集系统设计
    计算和处理。采用双口RAM作为AD转换模块与DSP双向通信的缓冲芯片,双口RAM芯片具有2套独立的控制线、地址线和数据线,可以对任何一个端口进行独立的操作,有利于DSP全速执行数据预算。整个数据采集电路的时序控制都有FPGA完成,保证了采样的持续性和实时性。图1 系统结构框图图3 双口RAM接口电路图4 主程序流程图图5 AD7606时序图图6 仿真时序图2.系统构建与实现2.1 FPGA器件随着电子技术的迅速发展,FPGA已经实现了大容量、速度快等特点,

    电子世界 2012年6期2012-07-12

  • 浅谈双口网络参数的课堂教学
    116023)双口网络是电路理论教学的重要内容之一,是描述和分析具有多个接线端元件或网络的重要工具[1]。双口网络的概念在若干后续课程和实际科研中有重要的应用。如何让学生学好并灵活应用网络参数分析一些电路特性一直是电路理论教学探讨的问题。笔者在双口网络的课堂教学中结合实际应用,在对Y、G、H和Z四种参数的基本概念做了介绍之后,以压电变压器(以下简称PT)经典集总参数电路模型为研究对象,利用压电变压器四种可能的连接方式的特点,结合教学内容对Y、G、H和Z四

    电气电子教学学报 2012年3期2012-06-21

  • 异体互对偶变换
    性放大器以及线性双口网络(也称为四端网络)可以用等效电路代替,所以只考虑由电阻R,电感L,电容C以及电压源、电流源所构成的线性网络[1].对于一个完整的线性网络A,其物理规律可以用基尔霍夫方程组描写(5)l=m+n-2(6)通过对偶变换可以得到网络A的对偶网络B.A与B的元件数应相等.由(1)~(4)式可推知基尔霍夫第一定律与第二定律应互对偶,则从A变到B的对偶变换应为(7)将上式应用到(5)式得(8)上式便是描写网络B的基尔霍夫方程组.类似于一个带小孔的

    物理通报 2012年5期2012-01-23

  • 捷联惯性组合导航系统的工程设计
    04;FPGA;双口RAM捷联惯性组合导航系统是一种完全自主的定位导航系统,它可以连续实时地提供位置、速度和姿态信息,短时精度较高,但误差随时间增长而不断积累。GPS导航系统能够全天候提供信息,且误差不随时间积累。因此,将它们组合起来,利用卡尔曼滤波技术进行融合,可以发挥各自优势,提高导航精度。由于捷联系统没有稳定的物理平台,惯性器件测量的数值不能直接进行导航计算,必须先经过大量、实时、精确的数学运算建立起数学平台,才能得到导航参数,在计算上较复杂,对计算

    电子科技 2012年1期2012-01-19

  • 一种基于ARM处理器的智能1553B总线通信模块的设计
    M 处理器,通过双口RAM实现与系统总线之间的数据通信。关于ARM处理器的特点,本文不再赘述,重点介绍ARM处理器如何实现与计算机系统的通信。智能1553B模块的总体框图如图1所示。智能1553B总线通信模块在1553B总线一端仍采用了美国DDC公司的1553B总线协议芯片BU61580,通过耦合变压器B3227接入1553B总线。采用变压器而不使用直接的耦合的设计方式,是因为由于直接耦合不利于终端故障隔离,可能会因为一个终端故障而造成整个总线网络的完全瘫

    指挥控制与仿真 2011年2期2011-04-24

  • 基于FPGA的100BASE-TX工业以太网中继器的设计与实现
    的有效数据暂存到双口RAM中。由于中继器只是物理层的设备,因此无需对所接收到的数据进行CRC校验,所以在设计同步检测模块时,将图2所示的以太网帧目的地址字段及该字段以后的所有字段都认为是有效数据。图3 FPGA功能框图Fig.3 Function block diagram of FPGA同步检测模块状态转换图如图4所示:1)当中继器上电开始工作,状态机初始化为IDLE状态。2)当来自某一以太网接口PHY芯片的MII接口信号RXDV信号有效时,表示需要接收

    电子设计工程 2011年16期2011-03-28

  • 基于ARM与FPGA的嵌入式开放性运动控制器的设计与开发
    PGA上实现一个双口RAM,并借助于在Linux中编写双口RAM的驱动程序,ARM便能够方便地和FPGA进行通信。ARM按照用户的运动控制要求将规划化好的运动代码通过双口RAM发送给FPGA,并且从双口RAM处获取运动单元的状态信息。在该系统中FPGA通过双口RAM从ARM处获取规划好的运动代码,而后通过插补算法将运动代码转化成一定数目且频率可调的脉冲来驱动步进电机完成相应的运动,同时FPGA将运动单元的状态信息通过双口RAM反馈给ARM。运动控制器系统的

    电气自动化 2011年3期2011-02-03

  • 主子板结构的ΓОСТ18977多用途接口板设计*
    费。并设计了采用双口RAM IDT70V658S[2]作为FPGA和接口控制器件之间的共享存储器,通过它来协调FPGA和各个接口控制器件之间传输的信息,从而实现系统多接口用途的设计。本系统的硬件设计在结构上采用主子板的方式,主板主要由FPGA、双口RAM和电平转换3部分组成,子板主要由接口控制芯片和总线接口组成,具体分为USB接口子板、CPCI接口子板以及PXI接口子板3个子板。主板和子板间通过连接电缆相连,用户需要什么接口便可选择相应的接口子板与主板相连

    电讯技术 2010年8期2010-09-26

  • 高速公路无线通信的LED信息发布显示器系统设计
    TF1508)、双口SDRAM(IDT7005)两两之间进行数据的交互,解决LED显示屏中无线通信,高速数据传输和快速扫描控制的难题,大大提高了动态显示的刷新率。信号接收与处理模块的功能由基于ARM9207核的高性能、低功耗16/32位RISC微处理器AT91RM9200通过无线通信模块MC39I接收PC送来的点阵信息,同时对点阵信息做各种不同的处理。利用双口SDRAM(IDT7005)在AT91RM9200和CPLD(ATF1508AS)之间以共享的方式

    电气自动化 2010年6期2010-09-20

  • 基于FPGA的双口RAM实现及应用
    用系统资源,采用双口RAM实现存储器共享是目前较为流行的方法。大容量、高速FPGA器件具有集成度高、体积小、灵活可重配置、实验风险小等优点,在复杂数字系统中得到越来越广泛的应用[1]。数字电路设计采用1片FPGA器件、存储设备和一些电气接口匹配电路的解决方案已成为主流选择方案。用FPGA来实现双口RAM的功能可以很好地解决并行性和速度问题,而且其灵活的可配置特性使得基于FPGA的双口RAM易于进行修改、测试及系统升级,可降低设计成本,缩短开发周期。1 双口

    电子设计工程 2010年2期2010-07-13

  • 基于TMS320F2812的远程故障检测系统设计
    据后将数据传输给双口RAM,TMS320F2812得到数据后进行快速算法运算,运算得到的结果再通过双口RAM传送给MCU。以该模块在滚动轴承故障检测系统中的应用为例,应用DSP后的故障检测系统框图如图1所示。图 1 改进后的故障检测系统框图1.2 模块硬件设计1.2.1 双口RAM型号的选择图2 CY7C144与DSP和MCU的接口示意图DSP和MCU在系统工作需要不断的大量交换数据,通过双口RAM以资源共享的方式进行数据通信,不仅传输速率高,而且具有非常

    制造业自动化 2010年6期2010-07-10

  • 数字信号处理器与单片机之间高速通信实现方案
    重要的问题。采用双口RAM(简称DRAM)是解决CPU之间的数据共享的有效办法。与串行通信相比,采用双口RAM不仅数据传输速度高,而且抗干扰性能好。笔者选用了TI公司的第三代DSP芯片TMS320C32和51系列单片机89C52作为控制系统的CPU。两个CPU之间通过双口RAM CY7C133完成数据交换。但在实际使用过程中遇到了89C52与双口RAM总线宽度不匹配的问题,需要进行接口电路的设计。二、双口DRAM CY7C133的内部结构和功能DRAM即动

    新媒体研究 2009年24期2009-07-05

  • 满足航电通信系统要求的ACE-MBI设计
    主体为8 KB的双口存储器(左口)和I/O口,它是MBI传输软件与主机应用软件进行数据交换和MBI中断处理的媒介体。双口存储器空间按用途可分为数据区和控制区。后端区由数据和地址缓冲器、GAL芯片和FPGA实现,包括以下三部分:(1) 双口存储器地址译码电路;(2) 中断生成电路;(3) I/O访问、软复位产生电路。ACE-MBI与UT-MBI在后端区设计相同。2.4 可编程控制器可编程控制器包括以下组件:(1) 微处理器;(2) 8 KB RAM,8 KB

    现代电子技术 2009年5期2009-05-12