I2C总线控制器的VHDL设计及实现

2004-09-02 08:44
电子设计应用 2004年7期

摘要:本文用VHDL设计了一个简洁而实用的I2C总线控制器,介绍了详细的设计思路和在FPGA中的实现,并给出了在嵌入式系统设计中的使用方法。

关键词:I2C总线;VHDL;FPGA