EDA技术在独立学院数字电子技术实验教学中的应用

2017-12-19 12:28伍艳琼潘宇
科学与财富 2017年33期
关键词:EDA技术数字电子技术

伍艳琼+潘宇

摘 要: 將EDA技术应用于数字电子技术实验教学,提高了实验过程的可操作性,通过编程使学生对逻辑关系有更深入的理解,有利于独立学院对应用型人才的培养。本文介绍了EDA技术的设计流程,并通过用于驱动共阴极七段数码管的显示译码器作为设计实例,阐述了EDA技术在数字电子技术实验教学的应用。

关键词: EDA技术;Quartus II;数字电子技术;显示译码器

数字电子技术是通信工程、电子信息工程、自动化等专业的专业基础课,具有逻辑性强、内容抽象、理论与实践紧密结合等特点。传统的数字电子技术的实验教学,主要以实验箱为平台,通过选择芯片类型,完成简单的电路接线来验证、分析数字电路的功能[1]。这种实验方法尽管直观,但不利于学生理解电路的设计思路和方法,更由于实验室芯片类型有限,从而在一定程度上影响了学生的实践和创新能力的培养。而独立学院定位为培养高层次应用型人才,工科专业应用型人才培养应注重培养过程的开放性与实践性,注重培养学生实践和创新能力[2]。

而电子设计自动化技术(Electronic Design Automation,EDA) 以计算机为工具,设计者在EDA软件平台上(Quartus II、MAX +PLUS II、Proteus、Multisim 8等),通过逻辑电路图或硬件描述语言HDL完成设计文件,然后由计算机完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真, 直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作[3-5]。若采用逻辑电路图作为设计输入,则很好地解决了传统的实验教学中芯片类型受限的问题;如采用硬件描述语言作为设计输入,则更有利于学生理解逻辑关系。因此,EDA技术在数字电子技术实验中应用,更能满足独立学院对应用型人才培养的需要。

1 EDA设计流程

EDA技术作为现代电子设计技术的核心,被各类库所支持,能够完成各种自动设计过程,大大降低设计成本、缩短设计周期。EDA设计流程是自顶向下的设计方法,图1是基于EDA软件的FPGA/CPLD开发流程框图[6]。

1.1设计输入

将设计项目的功能要求以一定的方式输入计算机,通常以图形输入、HDL文本输入作为输入方法。

1.2综合

综合将电路的高级语言转换成低级的,可与FPGA/CPLD的基本结构相映射的网表文件或程序,把软件设计的HDL描述与硬件结构挂钩,是软件转化为硬件电路的关键步骤。

1.3适配

将网表文件配置给指定的目标器件,产生下载文件,如JEDEC或SOF等格式的文件。

1.4仿真

在编程下载前必须对适配生成的结果进行模拟测试 ,以验证设计、排除错误。通常有两种不同级别的仿真测试:时序仿真和功能仿真。

1)时序仿真,仿真文件包含了器件硬件特性参数,如精确的硬件延迟信息,接近真实器件运行特性,仿真精度高。

2)功能仿真,直接测试HDL、原理图或其他描述形式的逻辑功能,验证是否满足设计要求,而不涉及任何具体器件的硬件特性。

1.5编程下载及硬件测试

将适配后生成的下载或配置文件通过编程器或编程电缆向FPGA或CPLD下载,并进行硬件调试和验证,以排除错误、改进设计。

2 EDA技术在数字电子技术实验教学中的应用实例

以基于Altera公司的Cyclone II系列的EP2C5Q208C8实验板和Quartus II 8.1 设计一个用于驱动共阴极七段数码管的显示译码器为例,来讨论EDA技术在数字电子技术实验中的具体应用。

2.1 七段数码管

用七个发光二极管按图2结构排列即构成七段数码管,当二极管的阳极和阴极间压降大于二极管导通电压时,二极管导通发光;反之截止。图3为共阴极接法,能驱动显示段发光的电平为高电平1。例如,当显示译码器输入为“1011”时,可设计将其显示为“b”,对应的显示译码器输出便为“0011111”,由此可列出显示译码器的真值表。

2.2 用VHDL实现显示译码器的设计

根据真值表,共阴极显示译码器VHDL代码如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY Dec7s IS

PORT(A3,A2,A1,A0: IN BIT;

Ya,Yb,Yc,Yd,Ye,Yf,Yg: buffer BIT);

END;

ARCHITECTURE one OF Dec7s IS

BEGIN

PROCESS(A3,A2,A1,A0)

VARIABLE A : BIT_VECTOR(3 DOWNTO 0);

VARIABLE Y : BIT_VECTOR(6 DOWNTO 0);

BEGIN

A := (A3&A2&A1&A0);

Y := (Ya&Yb&Yc&Yd&Ye&Yf&Yg);

CASE A IS

WHEN "0000"=> Y:="1111110";

WHEN "0001"=> Y:="0110000";

WHEN "0010"=> Y:="1101101";

WHEN "0011"=> Y:="1111001";

WHEN "0100"=> Y:="0110011";

WHEN "0101"=> Y:="1011011";

WHEN "0110"=> Y:="1011111";

WHEN "0111"=> Y:="1110000";

WHEN "1000"=> Y:="1111111";

WHEN "1001"=> Y:="1111011";

WHEN "1010"=> Y:="1110111";

WHEN "1011"=> Y:="0011111";

WHEN "1100"=> Y:="1001110";

WHEN "1101"=> Y:="0111101";

WHEN "1110"=> Y:="1001111";

WHEN "1111"=> Y:="1000111";

WHEN OTHERS=>NULL;

END CASE; Ya<=Y(6);Yb<=Y(5);Yc<=Y(4);Yd<=Y(3);Ye<=Y(2);Yf<=Y(1);Yg<=Y(0);

END PROCESS;

END one;

2.3显示译码器的时序仿真

由图4验证仿真时间為19.2us处时,输入A3A2A1A0=“1001”时,YaYg为“1111011”,即显示‘9;并可依次验证其他数值显示均正确。

2.4显示译码器的硬件测试

本测试在Cyclone II系列的EP2C5Q208C8芯片上完成,将引脚锁定至芯片并进行编程下载后,将显示译码器输入A3A2A1A0分别连接拨码开关K4K3K2K1,输出YaYg分别连接ag,便可通过设定K4K3K2K1的取值,依次验证译码的正确性。

3结束语

将EDA技术应用至独立学院《数字电子技术》实验中,不仅解决了传统实

验教学芯片类型受限的问题,通过利用VHDL或Verilog HDL等硬件描述语言设计器件,还锻炼了学生的逻辑思维和硬件描述语言表达能力,能更深入理解器件的工作原理,最后编程下载到FPGA中进行硬件测试,进一步加深学生对实验的理解,从而大大提高教学效果和效率,也更能体现独立学院注重培养学生的实践和创新能力。

参考文献

[1] 张广华.EDA技术与数字电子技术教学的有机整合[J].福建商业高等专科学校学报,2010,10(5):47-53.

[2] 仲一虎.内涵建设下的独立学院工科应用型人才培养质量保障路径探析[J].吉林省教育学院学报,2016,10(32):132-134.

[3] 王彩凤,胡波,李卫兵,杜玉杰.EDA技术在数字电子技术实验中的应用[J].实验科学与技术,2011,2(9):4-6.

[4] 李玉华.EDA技术与电子技术实验教学结合的探讨[J].长春师范学院学报(自然科学版),2013,12(23):330-332.

[5] 廖慧惠.EDA技术在数字电子技术实验中的应用[J].菏泽学院报,2017,4(39):103-106.

[6] 潘松,黄继业.EDA技术实用教程—VHDL版(第四版)[M].北京:科学出版社, 2010:11-21.

猜你喜欢
EDA技术数字电子技术
案例教学在数字电子技术课程中的应用研究
EDA技术在数字电子技术实验中的应用
EDA技术在数字电子技术教学中的探索
基于EDA技术的计算机硬件实验教学体系构建
《数字电子技术》课程教学研究和探索
基于工程认知的数字电子技术课程教学改革研究
浅谈微课在高职院校《数字电子技术》课堂中的应用
三本院校EDA课程分层次教学方法的实践与探索
EDA技术与数电课程教学结合的探讨