以verilogHDL为重点的数字逻辑课程教学改革与实践

2017-04-18 01:49赵天翔何金枝
电脑知识与技术 2016年35期

赵天翔+何金枝

摘要:针对传统数字逻辑课程中的落后方法和陈旧内容,进行了以VerilogHDL和FPGA为重点的数字逻辑教学改革与实践,并探讨了实验驱动的教学新模式。不但使学生接触到最新的数字电路设计方法,而且使其掌握了一门有广泛应用前景的新技能。使学生在学完这门课程后,真正能做设计,做应用。

关键词:数字逻辑;VerilogHDL;FPGA;EDA;教学改革

中图分类号:TP302 文献标识码:A 文章编号:1009-3044(2016)35-0177-02

The teaching reform and practice of digital logic taking verilogHDL as the key points

ZHAO Tian-xiang, HE Jin-zhi

(Nanyang Institute of Technology, Nanyang 473000, China)

Abstract:Aiming at the backward methods and old content in the traditional digital logic course, the reform and practice of the digital logic teaching with VerilogHDL and FPGA as the key points are carried out, and the new teaching mode of the experiment drive is discussed. Not only make the students come into contact with the latest digital circuit design method, but also make it have a wide range of application prospects of the new skills. The students in the completion of this course, can really do the design, application.

Key words: Digital logic; VerilogHDL; FPGA; EDA; teaching reform

承担《数字逻辑》这门课两个学期了,我发现传统的教学内容已经不适合现在社会的发展,并对此进行了教学内容的改革。在传统的教学内容中,逻辑表达式、真值表和波形图等这些老旧的数字电路设计方法,是教学的重点。而CPLD、FPGA和VHDL、VerilogHDL等现代化的数字电路设计方法仅仅是一个简单的介绍。这样的数字逻辑课程学完后,学生仅仅是对数字电路的设计有所了解,至于设计是无从谈起的,现在用逻辑表达式、真值表和波形图等去设计一个数字电路简直是可笑的。于是,我决定把基于VerilogHDL和FPGA的现代化的设计方法作为教学的重点,这样使学生学完这门课程后,真正能做设计,做应用。

1 VerilogHDL简单易学

VerilogHDL是一种硬件描述语言,使用这种语言只需要把我们想设计的数字电路的功能或结构描述出来,然后由计算机辅助电路设计软件综合出实际可用的数字电路出来。完全不用像传统的设计方法那样,用逻辑表达式或真值表那样一个逻辑门一个逻辑门的去设计。传统的设计方法,费时费力,在门数比较少的时候还可以。但在现在动辄数亿门的集成电路设计中几乎是不可能的。使用计算机辅助电路设计软件进行数字电路设计是时代发展的必然。

使用计算机辅助电路设计軟件进行数字电路设计就必须要用硬件描述语言,现在主流的硬件描述语言主要有两种,一种是VHDL,一种是VerilogHDL。VerilogHDL的风格非常接近计算机软件语言中的C语言,因此VerilogHDL比前者更容易被计算机专业的学生接受和理解。VerilogHDL和VHDL都是IEEE标准,功能和性能上没有太大的差异,在国内使用Verilog HDL的用户也比较多,因此我决定以VerilogHDL作为这门课的编程语言。VerilogHDL在语法,数据类型,控制语句等方面和C语言都有较多的相似性,学过C语言的计算机专业的学生很容易就可以理解和掌握这门语言。

VerilogHDL需要在计算机辅助电路设计软件中使用,现在这种软件已经非常成熟。我们使用的是Altera公司开发的Quartus II软件,Altera公司是世界第二大FPGA厂商,其产品在国内有广泛应用。FPGA是现场可编程逻辑阵列,可以通过编程变成我们想要的任意电路。我们用VerilogHDL做出设计,由Quartus II综合出电路,如图1所示。然后下载到FPGA,由FPGA实现验证,最后由半导体企业生产出来使用。也可以直接使用FPGA,使用FPGA和使用专用芯片几乎没什么差别。

图1 Quartus II综合VerilogHDL设计生成的电路原理图

2 实验驱动的教学模式

我在教学中采用实验驱动的教学模式,即通过大量可验证的实验,逐步把知识传授给学生。在传统的教学模式中,教师往往从基本的语法,原理讲起,等语法,原理讲完了,再讲复杂的应用。结果,前期讲理论时,学生觉得非常枯燥,后期讲应用时,前面的理论又忘得差不多了,教学效果不理想。实验驱动的教学模式即把理论教学和应用教学结合起来,穿插起来。前期不纯讲理论,而是以简单的实验,把理论融入实验,一一验证。每一个实验都是一个较为完整的应用,都可以通过软硬件验证,这样可以引发学生的学习兴趣。由简单到复杂,每一次实验都会有一些收获,跨度也不大,逐步深入,而且每一次实验都印证了学科的实用性,增强学生学习的信心和动力。

这些实验可以通过软件或硬件来验证,在Altera公司的Quartus II软件中自带了一个由Mentor Graphics公司为Altera定制的ModelSim-Altera软件。ModelSim-Altera是一个仿真分析软件。VerilogHDL包括分析测试的功能,我们写出的实验例程可以包含一个测试模块。在测试模块中,我们可以通过对输入端口赋值,设置时间点,对实验进行充分的测试验证。在ModelSim-Altera仿真过程中,可以输出虚拟示波器波形,对这些波形进行分析可以查找错误,验证功能。ModelSim-Altera还提供了丰富的系统任务和系统函数帮助我们分析电路功能,可以按时间点输出监控的参数数值。

ModelSim-Altera虽然是一个简单实用的好工具,但仿真还是有一些局限性的。这时候,一块FPGA的开发板就非常重要了。我们写的VerilogHDL设计,下载到FPGA开发板中,以硬件的方式进行验证,亲眼看到,非常有说服力。使用FPGA开发板还可以进行非常实用的应用开发,比如红外遥控、视频编码、VGA输出等。

3 FPGA应用前景广泛

使用VerilogHDL和FPGA不仅使数字电路设计简单方便,而且对于小规模的应用,我们根本就不需要把电路生产出来,直接把设计写入FPGA,直接用FPGA就行了。FPGA相对于单片机等传统控制器,有很多优点。例如:FPGA可以生成任何电路,大大简化了控制器周边的复杂度,一些译码器、编码器等外围芯片不需要了,降低了成本,提高了可靠度。FPGA纯硬件运行,没有CPU执行延时,响应速度非常快。在一些实时性要求非常高的场合有着传统控制器无法比拟的优势。比如:实时的视频采集,高速运动装置的控制等。

4 结束语

以VerilogHDL和FPGA为重点的数字逻辑课程教学改革,经过我这两个学期的实践,使原本边缘化的一门传统课程,焕发出新的活力。不但使学生接触到最新的数字电路设计方法,而且使学生掌握了一门有广泛应用前景的新技能,为其以后的发展又增添了一条新的选择。今后我将继续在实用化,现代化的教学实践中探索前进。

參考文献:

[1] 马朝,李颖,杨明.用Verilog-HDL设计数字逻辑系统[J].计算机工程,2015,26(12):110-112.

[2] 何清平,刘佐濂,江建钧.Verilog语言综合问题研究[J].广州大学学报,2006,5(5):58-61.

[3] 徐莹隽. 基于开放教学模式的数字逻辑电路实验教学改革[J].电气电子教学学报,2006,28(6):64-66.

[4] 艾明晶. 基于自动设计方法的数字逻辑课程改革研究与实践[J].实验技术与管理,2012,29(9):151-154.

[5] 唐志强. 计算机专业数字逻辑实验的改革与创新[J].实验室研究与探索,2013,32(10):182-183.

[6] 罗杰,康华光. 两种硬件描述语言VHDL/Verilog的发展及其应用[J].电气电子教学学报,2002,24(4):1-5.

[7] 詹瑾瑜,廖建明. 数字逻辑课程教学方法研究与探讨[J].计算机教育,2011(2):94-91.

[8] 盛建伦. 数字逻辑实验教学改革探索[J].计算机教育,2010(17):41-43.