运动视频中训练参数自适应提取系统设计

2018-08-06 05:54林竞
现代电子技术 2018年15期
关键词:目标识别系统设计

林竞

摘 要: 针对当前运动视频中训练参数自适应提取存在对运动视频中目标识别不准确,导致训练参数提取的准确度不高,提取速度慢等问题,提出一种基于自定义外设的运动视频中训练参数自适应提取系统设计方法。通过对运动视频中训练参数自适应提取系统硬件平台的选型,利用自定义外设的方法确定运动视频中训练参数自适应提取系统硬件平台构建方案,实现系统整体框架设计。确定框架中每一模块的功能,并对系统框架中自定义IP核以及XPS软件中IP核进行设计分析,提高提取的准确性,降低提取误差。为保证提取的质量,还需要建立运动视频中目标对象所处的三维空间坐标系,以及图像二维坐标系,实现运动视频中目标的坐标系变换。建立运动视频影像全局运动坐标系模型,提高提取的速度,从而确定运动视频中训练参数自适应提取的目标函数,根据目标函数,实现运动视频中目标的识别,从而完成运动视频中训练参数自适应提取。实验结果表明,所提方法能够准确地对运动视频中的目标进行识别,降低了训练参数提取的误差,且提取速度较快。

关键词: 运动视频; 训练参数; 自适应系统; 参数提取; 系统设计; 目标识别

中图分类号: TN911.73?34; TP391 文献标识码: A 文章编号: 1004?373X(2018)15?0056?05

Design of adaptive extraction system for training parameters in moving video

LIN Jing

(Gannan Normal University, Ganzhou 341000, China)

Abstract: The adaptive extraction of training parameters in sports video has the problems of inaccurate target identification in moving video, which leads to low extraction accuracy and slow extraction speed of training parameters. Therefore, a user?defined peripherals based design method of adaptive extraction system of training parameters in sports video is proposed. The type selection of the hardware platform of the adaptive extraction system for training parameters in motion video is determined, and the user?defined peripheral method is used to determine the construction scheme of system hardware platform, and realize the overall framework design of the system. The function of each module in the framework is determined, and the IP cores defined in system framework and XPS software are designed to improve the extraction accuracy and reduce the extraction error. In order to ensure the extraction quality, it is necessary to establish the three?dimensional space coordinate system of the target object in the moving video and two?dimensional coordinate system of the image to realize the coordinate system transformation of the target in the moving video. The global motion coordinate system model of the moving video image is established to improve the extraction speed, so as to determine the objective function of the adaptive extraction of training parameters in the moving video. According to the target function, the target in moving video is recognized to realize the adaptive extraction system of training parameters in the motion video. The experimental results show that the method proposed in this paper can accurately identify the target in the moving video, reduce the extraction error of the training parameters, and has fast extraction speed.

Keywords: moving video; training parameter; adaptive system; parameter extraction; system design; target recognition

0 引 言

运动视频由于视频中的目标是运动的,对其提取区别于普通图像提取,需要对目标进行识别跟踪[1]。随着摄影摄像技术的提高以及社会的发展,运动视频的数量越来越多,对运动视频中训练参数进行自适应提取,能够获取运动视频中的信息[2]。现如今,运动视频来源于教育、科学、文化、安保等各个领域,在人们生活过程中发挥着越来越重要的作用,对运动视频中训练参数进行自适应提取受到了相关专家学者的重视[3]。目前广泛采用的运动视频中训练参数自适应提取方法主要是通过在运动视频中检测运动目标,实现图像运动坐标系下对应运动参数的提取,通过分析凝视拍摄的特点,将运动视频看作具有全局运动特征的图像,确定运动目标的参数,并利用小波分解的块平均分配方法实现运动视频中训练参数自适应提取[4]。这种方法由于参数抽取的处理速度快,成为当前解决该课题的重点方法,然而这种方法的提取误差较大,还需要相关专家学者研究解决[5]。

文献[6]提出一种非均匀的视频训练参数自适应提取方法,通过以水槽实验拍摄的视频为基础资料,对其进行去噪处理,对视频中运动目标进行标记,得到运动目标的坐标位置,通过给出原始视频到提取出目标坐标的详细过程,利用编程等手段确定运动目标的运动参数,从而实现运动视频中训练参数自适应提取。但这种方法由于缺乏相关的理论依据,导致提取的误差较大。文献[7]提出一种基于视皮层V1模型的运动视频中训练参数自适应提取方法,根据生物视觉系统确定动态视频目标的感知机制,改进初步视皮层(V1)细胞模型,利用时空滤波器及半平方归一化分别模拟神经元感受野的线性与非线性特性,利用在输出权值中加入方向选择性调节参数得到V1细胞模型,根据该模型实现运动视频中训练参数自适应提取,这种方法由于没有对运动视频进行处理,影响提取的准确性。

针对上述问题,本文提出一种基于自定义外设的运动视频中训练参数自适应提取系统设计方法,通过对自适应提取系统硬件平台进行选型,利用自定义外设确定自适应提取系统硬件平台构建方案,实现系统整体模块设计。为实现该系统,对系统中自定义IP核以及XPS(Xilinx Platform Studio)软件中IP核进行设计,在此基础上构建运动视频的坐标系,实现运动视频中目标的坐标系变换,建立运动视频影像全局运动坐标系模型,从而确定运动视频中训练参数自适应提取的目标函数,根据目标函数,实现运动视频中训练参数的自适应提取。实验结果表明,所提方法设计的系统能够准确地对运动视频中的目标进行识别,从而保证了训练参数自适应提取的质量,提取效果较好。

1 运动视频中训练参数自适应提取系统设计

1.1 自适应提取系统硬件平台的构建

为实现运动视频中训练参数自适应提取系统设计,需要对自适应提取系统硬件平台进行构建。本文选用的自适应提取系统硬件平台为同时具有ARM为核心的处理器系统以及FPGA为中心的可编程逻辑单元的AP?SoC平台。本文利用开发板实现自适应提取系统硬件平台AP?SoC中FPGA与ARM之间高性能接口的划分,通过合理地利用这些接口进行运动视频中训练参数数据的传输,从而提高系统的性能。

本文在进行运动视频参数自适应提取系统设计过程中,采用一种自定义外设的系统硬件平台设计方法,利用FPGA实现运动视频中训练参数特征点提取,并将该模块作为硬件平台的外设模块,利用AXI总线将得到的提取结果传输到处理器系统中。为实现提取的运动视频中训练参数特征点与处理器系统之间的数据传输,需要利用Xillinx的IP核和片上高性能数据端口构建DMA通道。通过编写运行于处理器系统的逻辑应用程序,从而对本文设计的系统硬件平台功能的正确性以及硬件加速性能的优越性进行分析。

本文在XPS开发软件中构建运动视频中训练参数自适应提取系统,构建的系统框图如图1所示。

图1中,PS表示训练参数自适应提取系统中ARM?cortex?A9为核心的处理器系统;GP和HP分别表示处理器通用的主端口和高性能端口;CDMA模块是DMA控制器IP核;Harris模块表示Harris特征点提取模块,实现对运动视频中训练参数特征点的提取,其中A表示训练参数数据的输入缓存接口,B表示运动视频中横坐标输出缓存接口,C表示纵坐标输出缓存接口;Axi_in_ctrl,Axi_row_ctrl以及Axi_col_ctrl模块则通过BRAM控制器IP核得到,利用该模块可以实现BRMA缓存端口与AXI总线之间的连接,Axi_harris_ctrl模块利用Xilinx外设工具实现,该模块可以连接Harris模块中控制寄存器与AXI总线,其中AXI1的总线为32位,AXI2的总线是64位。

在本文设计的运动视频中训练参数自适应提取系统中,自定义IP核的设计效果直接影响系统对训练参数自适应提取的速度。本文中,自定义IP核主要由Harris模块和Axi_harris_ctrl模块组成。

设计中,将Harris特征点提取模块导入XPS,XPS的创建和导入外设向导如图2所示,实现代入已存在外设选项的选择。

自定义IP核生成后,能够實现MPD文件的修改,其中,MPD文件是用于定义外设接口的微处理器外设规范。然后修改设计文件。该IP核添加后,能够得到两个相应的vhdl文件,为实现端口信号与控制器寄存器之间的连接需要在Axi_harris_ctrl模块中添加端口信号和逻辑代码,添加的逻辑代码如下:

--USER logic implementation added here

en <= r_en;

rst <= r_rst;

Thr <=Thr;

r_point_cnt<= point_cnt;

r_load_flag<=load_flag;

r_cflag <=cflag;

r_result_flag <=result_flag;

process(clk)

begin

if(rising_edge(clk)) then

r_en <=slv_reg0(0);

r_rest<=slv_reg1(0);

r_Thr<=slv_reg2(31 downto 0);

slv_reg3 <=r_point_cnt;

slv_reg4 (0) <=r_load_flag;

slv_reg4 (31 downto 1) <=(others=>‘0);

slv_reg5 (0) <=cflag;

slv_reg5 (31 downto 1) <=(others=>‘0);

slv_reg6 (0) <=result_flag;

slv_reg6 (31 downto 1)<―(other—>‘0);

End if;

end process;

本文设计的系统中使用了7个控制寄存器,其中4个分别连接模块的端口信号,剩余3个寄存器作为预留,提高了本文设计系统的扩展性。

在本文设计的训练参数自适应提取系统中,主要使用XPS软件中AXI Center DMA IP核和AXI BRAM Control IP核,两个IP核性能如表1,表2所示。

上述论述中,通过对自适应提取系统硬件平台进行选型,提出一种基于自定义外设的自适应提取系统构建方案,根据该方案,设计了系统的总体框架,并对框架中每一模块的功能进行论述,对系统中自定义IP核以及XPS软件中IP核进行设计,从而实现运动视频中训练参数自适应提取系统平台设计。

1.2 运动视频中训练参数自适应提取的目标函数的确定

为实现运动视频中训练参数自适应提取,保证训练参数的准确提取,需要建立运动视频影像全局运动坐标系模型。在对运动视频图像进行描述和处理的过程中,需要考虑运动视频中目标对象以及拍摄摄像机所在三维坐标系、运动视频图像所处的二维坐标系。在进行运动视频参数自适应提取的过程中,利用坐标变换的方法构建运动视频中训练参数模型,实现对运动视频中目标对象在其所处的三维坐标系下训练参数的提取。本文设计的系统在进行训练参数自适应提取过程中,设定视频的拍摄摄像机采用针孔模型,通过将运动视频中目标对象三维坐标系和拍摄摄像机所在三维坐标系重合,坐标系中,坐标原点为摄像机的光心,[z]轴表示光轴,[x]轴为水平方向,[y]轴为垂直方向。摄像机将目标对象所处的三维空间点[(X,Y,Z)]映射到二维空间平面点[(x,y)]上,设定摄像机的焦距为[f],图像平面与[z]轴垂直,中心坐标为[(0,0,f)],则映射关系可以表示为:

[x=fXZ, y=fYZ] (1)

摄像机在位移运动模型下的坐标变换关系可以表示为:

[xy=xy+txty] (2)

式中[tx]和[ty]表示摄像机分别向[x]轴和[y]轴的位移。

为保证对象所处的世界坐标系转换到图像所处的二维坐标系转换的准确度,提高提取精度,本文在位移运动模型的基础上引入仿射变换的模型,坐标变换关系表示为:

[x=a1x+a2y+a3y=a4x+a5y+a6] (3)

式中:[a1]和[a5]表示缩放变换;[a2]和[a4]表示视频的旋转变换;[a3]和[a6]表示视频的位移变换。则误差函数表示为:

[H(a)=x,y(x-x)2+(y-y)2] (4)

式中[x]和[y]分别表示转换过程中在[x]轴和[y]轴的误差。

将式(3)代入式(4)得:

[H(a)=x,y(a1x+a2y+a3-x)2+(a4x+a5y+a6-y)2]

(5)

则最优全局运动参数即是式(5)達到最小的矢量[a],即:

[aopt=argminaE(a)] (6)

上述论述中,通过坐标变换关系建立运动视频影像全局运动坐标系模型,确定运动视频中训练参数自适应提取的目标函数,完成对运动视频中训练参数自适应提取,提高训练参数自适应提取的质量,保证提取的准确度。

2 实验结果与分析

针对运动视频图像,利用Matlab实现训练参数自适应提取的实验,实验过程中选用美国麻省理工大学数据库中一组跑步视频作为实验数据。

通过对比视频中任意一帧中目标的提取效果,从而实现对本文所提方法的提取性能分析,通过实验得到本文方法对运动视频中目标的提取结果,如图3所示。

通过图3可以看出,本文所提方法设计的系统能够对运动视频中的目标进行提取,且提取的精度较高,为视频图像中训练参数的提取提供依据,保证了运动视频中训练参数提取的质量。由于本文所提方法设计的系统在提取过程中重点考虑运动视频中目标对象以及拍摄摄像机所在三维坐标系、运动视频图像所处的二维坐标系之间的变换关系,提高了提取的精度。

采用本文所提方法设计的系统在对运动视频进行处理输出后,视频中任意一帧图像进行三维点云变换,得到的三维点云图像如图4所示。

通过图4可以看出,本文所提方法设计的系统处理图像后在三维点云变换后图像波动幅度较小,图像较为平滑,说明本文所提方法设计系统能够有效对运动视频进行處理,保证处理后视频图像的质量。由于本文所设计的系统在构建框架时对系统中自定义IP核以及XPS软件中IP核进行设计,降低了视频中存在的噪声,提高了视频的质量。

对图像自适应提取的效果进行分析,通过实验得到本文方法的自适应提取效果。为保证对系统性能分析的准确性,实验中引入增量非负矩阵分解实现运动视频中训练参数自适应提取的方法,将本文所提系统的提取效果与其进行对比,分析结果如表3所示。

3 结 论

通过表3可以看出,本文所提方法设计的系统进行运动视频中训练参数自适应提取,提取的能耗较小,花费的时间较少,提取的速度较快,运动视频训练参数提取过程中丢包率较低,说明本文所提方法能够对运动视频中每一帧图像进行提取,提取的参数数量较多,对运动视频中训练参数的提取较为精确。

综上所述,本文所提方法设计的系统能够准确对运动视频中训练参数进行提取,提取的效果较好,且提取的速度较快。

参考文献

[1] 张博研,李广泽,武星星.Quickbird遥感影像的车辆自动检测与运动参数估计[J].液晶与显示,2015,30(4):687?694.

ZHANG B Y, LI G Z, WU X X. Speed estimation and automatic detection of moving vehicle from Quickbird satellite images [J]. Chinese journal of liquid crystals and displays, 2015, 30(4): 687?694.

[2] MOREIRA S R, TEIXEIRA?ARAUJO A A, OLIVEIRA D S A, et al. Ten weeks of capoeira progressive training improved cardiovascular parameters in male practitioners [J]. Journal of sports medicine & physical fitness, 2016, 57(3): 157?161.

[3] 吕霞付,王博化,陈俊鹏.基于位平面分解方法的运动模糊图像PSF参数辨识[J].半导体光电,2016,37(3):449?454.

L? X F, WANG B H, CHEN J P. PSF parameter identification of motion blur images based on bit?plane segment decomposition [J]. Semiconductor optoelectronics, 2016, 37(3): 449?454.

[4] 于萧榕,席屏,黄健荣.监控系统预警视频的分布式检索设计与实现[J].计算机测量与控制,2015,23(7):2511?2514.

YU X R, XI P, HUANG J R. Design and implementation of distributed retrieval in video monitoring system [J]. Computer measurement & control, 2015, 23(7): 2511?2514.

[5] HOYO M D, SA?UDO B, CARRASCO L, et al. Effects of 10?week eccentric overload training on kinetic parameters during change of direction in football players [J]. Journal of sports sciences, 2016, 34(14): 21?25.

[6] 许琳娟,刘春晶,曹文洪,等.非均匀推移质运动参数提取[J].中国水利水电科学研究院学报,2016,14(2):138?143.

XU L J, LIU C J, CAO W H, et al. Extraction of non?uniform bedload movement parameters [J]. Journal of China institute of water resources and hydropower research, 2016, 14(2): 138?143.

[7] 邹洪中,许悦雷,马时平,等.基于视皮层V1模型的随机点视频序列运动特征提取[J].计算机应用,2016,36(6):1677?1681.

ZOU H Z, XU Y L, MA S P, et al. Motion feature extraction of random?dot video sequences based on V1 model of visual cortex [J]. Journal of computer applications, 2016, 36(6): 1677?1681.

[8] 杨耀权,杨朔.基于数字图像处理的单条输电线路曲线提取[J].科学技术与工程,2016,16(28):90?94.

YANG Y Q, YANG S. Curve extraction of single transmission line based on digital image processing [J]. Science technology and engineering, 2016, 16(28): 90?94.

[9] 朱敏,苏博.三维人工视觉图像特征提取仿真研究[J].计算机仿真,2016,33(7):261?264.

ZHU M, SU B. Three?dimensional artificial visual image feature extraction simulation research [J]. Computer simulation, 2016, 33(7): 261?264.

[10] 李荣,徐燕华.基于视觉信息的图像特征提取算法研究[J].电子设计工程,2016,24(9):188?190.

LI R, XU Y H. Research on the extraction algorithm of image feature based on visual information [J]. Electronic design engineering, 2016, 24(9): 188?190.

猜你喜欢
目标识别系统设计
渡口水域安全监管技术研究
全自动模拟目标搜救系统的设计与实现
动态场景中的视觉目标识别方法分析
基于PC的视觉解决方案在 Delta机器人抓放中的应用
一种基于SATA硬盘阵列的数据存储与控制系统设计研究
目标特性测量雷达平台建设构想
移动机器人图像目标识别
信息管理服务平台项目应用系统设计探析